您现在的位置:首页 >> 通信 >> 内容

【硬件测试】基于FPGA的4ASK+帧同步系统开发与硬件片内测试,包含高斯信道,误码统计,可设置SN

时间:2025/2/13 22:42:47 点击:

  核心提示:0sj2_060m,包括程序操作录像...

1.完整项目描述和程序获取

>面包多安全交易平台:https://mbd.pub/o/bread/Z56bm59p

>如果链接失效,可以直接打开本站店铺搜索相关店铺:

点击店铺

>如果链接失效,程序调试报错或者项目合作可以加微信或者QQ联系。

2.部分仿真图预览


3.算法概述

   在现代通信系统中,数字调制技术被广泛应用于无线通信、卫星通信以及有线通信等领域。其中,振幅键控(Amplitude Shift Keying, ASK)是一种简单的数字调制方式,通过改变载波信号的幅度来表示不同的数据位。四进制振幅键控(4-ASK)是ASK的一种扩展形式,它使用四个不同的幅度等级来传输两个比特的信息。

4.部分源码

`timescale 1ns / 1ps

//

// Company: 

// Engineer: 

// 

// Create Date: 2025/02/13 00:30:49

// Design Name: 

// Module Name: tops_hdw

// Project Name: 

// Target Devices: 

// Tool Versions: 

// Description: 

// 

// Dependencies: 

// 

// Revision:

// Revision 0.01 - File Created

// Additional Comments:

// 

//

 

 

module tops_hdw(

 

input i_clk,

input i_rst,

output reg [3:0] led

);

    

    

//产生模拟测试数据

wire signed[1:0]o_msg;

signal signal_u(

.i_clk (i_clk),

.i_rst (~i_rst),

.o_bits(o_msg)

);

    

    

//设置SNR

wire signed[7:0]o_SNR;

vio_0 your_instance_name (

  .clk(i_clk),                // input wire clk

  .probe_out0(o_SNR)  // output wire [7 : 0] probe_out0

); 

    

    

 

wire signed[15:0]o_4ask;

wire signed[15:0]o_4ask_Rn;

wire signed[31:0]o_de_4askf;

 

 

wire [1:0]o_bits_data;//数据

wire [1:0]o_bits_head;//帧头

wire [7:0]o_peak;//帧头检测峰值

wire  o_en_data;//数据使能

wire  o_en_pn;//帧头使能

wire  o_frame_start;//帧检测标记

wire signed[31:0]o_error_num;

wire signed[31:0]o_total_num;

wire [1:0]o_bits;

wire [1:0]o_rec2;

ASK4 uut(

.i_clk(i_clk),

.i_rst(~i_rst),

.i_bits(o_msg),

.i_SNR(o_SNR),

.o_4ask(o_4ask),

.o_4ask_Rn(o_4ask_Rn),

.o_de_4ask(),

.o_de_4askf(o_de_4askf),

.o_bits(o_bits),

.o_bits_data      (o_bits_data),

.o_bits_head      (o_bits_head),

.o_peak           (o_peak),

.o_en_data        (o_en_data),

.o_en_pn          (o_en_pn),

.o_frame_start    (o_frame_start),

.o_error_num      (o_error_num),

.o_total_num      (o_total_num),

.o_rec2           (o_rec2)

); 

    

    

reg[9:0]cnt2;

always @(posedge i_clk or negedge i_rst)

begin

     if(~i_rst)

     begin

     cnt2 <= 10'd0;

     end

else begin

       if(cnt2 == 31)

       cnt2 <= 10'd0;

       else

       cnt2 <=  cnt2+10'd1;

     end

end 

reg dat_clk;

reg dat_clk2;

always @(posedge i_clk or negedge i_rst)

begin

     if(~i_rst)

     begin

     dat_clk  <= 1'd0;

     dat_clk2 <= 1'd0;

     end

else begin

       if(cnt2 == 8)

       dat_clk <= 1'd1;

       else

       dat_clk <= 1'd0;

 

     end

end  

//ila篇内测试分析模块140

ila_0 ila_u (

.clk(i_clk), // input wire clk

.probe0({ 

        o_msg,o_SNR,o_4ask[15:6],o_4ask_Rn[15:6],//30

        o_de_4askf,//32

        o_error_num[15:0],o_total_num[23:0],//40

        //14

            o_en_pn,

            o_en_data,

            o_peak,

            o_bits_head,cnt2,dat_clk,

            o_bits_data,o_rec2,o_bits

         })

);

 

    

    

endmodule

0sj2_060m

---

作者:我爱C编程 来源:我爱C编程
本站最新成功开发工程项目案例
相关文章
相关评论
发表我的评论
  • 大名:
  • 内容:
本类固顶
  • 没有
  • FPGA/MATLAB商业/科研类项目合作(www.store718.com) © 2025 版权所有 All Rights Reserved.
  • Email:1480526168@qq.com 站长QQ: 1480526168